nand2/01_Boolean_Logic/02_Buffer/top.v
Michael Schröder 971b323822 added v2.0
2023-01-11 23:04:57 +01:00

13 lines
181 B
Verilog

`default_nettype none
module top(
input BUT1,
input BUT2,
output LED1,
output LED2
);
Buffer BUFFER1(.in(BUT1),.out(LED1));
Buffer BUFFER2(.in(BUT2),.out(LED2));
endmodule