nand2/01_Boolean_Logic/02_Buffer/top.v

13 lines
181 B
Coq
Raw Permalink Normal View History

2023-01-11 10:13:09 +00:00
`default_nettype none
module top(
input BUT1,
input BUT2,
output LED1,
output LED2
);
Buffer BUFFER1(.in(BUT1),.out(LED1));
Buffer BUFFER2(.in(BUT2),.out(LED2));
endmodule