nand2/01_Boolean_Logic/00_Nand/top.v
Michael Schröder 971b323822 added v2.0
2023-01-11 23:04:57 +01:00

12 lines
145 B
Verilog

`default_nettype none
module top(
input BUT1,
input BUT2,
output LED1,
output LED2
);
Nand NAND(.a(BUT1),.b(BUT2),.out(LED1));
endmodule