nand2/07_Operating_System/00_HACK/Include.v
Michael Schröder 971b323822 added v2.0
2023-01-11 23:04:57 +01:00

12 lines
396 B
Verilog

`include "../../05_Computer_Architecture/Memory.v"
`include "../../06_IO_Devices/HACK.v"
`include "../../06_IO_Devices/UartTX.v"
`include "../../06_IO_Devices/UartRX.v"
`include "../../06_IO_Devices/SPI.v"
`include "../../06_IO_Devices/SRAM_D.v"
`include "../../06_IO_Devices/GO.v"
`include "../../06_IO_Devices/InOut.v"
`include "../../06_IO_Devices/LCD.v"
`include "../../06_IO_Devices/RTP.v"