nand2/03_Sequential_Logic/Register.v
Michael Schröder 971b323822 added v2.0
2023-01-11 23:04:57 +01:00

19 lines
239 B
Verilog

/**
* 16-bit register:
* If load[t] == 1 then out[t+1] = in[t]
* else out does not change
*/
`default_nettype none
module Register(
input clk,
input [15:0] in,
input load,
output [15:0] out
);
// Put your code here:
endmodule