nand2/03_Sequential_Logic/08_blinky/blinky_tb.v
Michael Schröder 971b323822 added v2.0
2023-01-11 23:04:57 +01:00

25 lines
289 B
Verilog

`default_nettype none
module blinky_tb();
// IN,OUT
reg CLK=1;
wire[1:0] LED;
// Part
blinky blinky(
.CLK(CLK),
.LED(LED)
);
// Simulate
always #1 CLK=~CLK;
initial begin
$dumpfile("blinky_tb.vcd");
$dumpvars(0, blinky_tb);
#100000
$finish;
end
endmodule