nand2/02_Boolean_Arithmetic/Add16.v
Michael Schröder 971b323822 added v2.0
2023-01-11 23:04:57 +01:00

17 lines
234 B
Verilog

/**
* Adds two 16-bit values.
* The most significant carry bit is ignored.
* out = a + b (16 bit)
*/
`default_nettype none
module Add16(
input [15:0] a,
input [15:0] b,
output [15:0] out
);
// Put your code here:
endmodule