nand2/07_Operating_System/00_HACK/Or.v
Michael Schröder 971b323822 added v2.0
2023-01-11 23:04:57 +01:00

18 lines
194 B
Verilog

/**
* Or gate:
* out = 1 if (a == 1 or b == 1)
* 0 otherwise
*/
`default_nettype none
module Or(
input a,
input b,
output out
);
// Put your code here:
or(out,a,b);
endmodule