nand2/01_Boolean_Logic/DMux.v
Michael Schröder 971b323822 added v2.0
2023-01-11 23:04:57 +01:00

18 lines
212 B
Verilog

/**
* Demultiplexor:
* {a, b} = {in, 0} if sel == 0
* {0, in} if sel == 1
*/
`default_nettype none
module DMux(
input in,
input sel,
output a,
output b
);
// Put your code here:
endmodule