nand2/01_Boolean_Logic/Mux.v
Michael Schröder 971b323822 added v2.0
2023-01-11 23:04:57 +01:00

18 lines
187 B
Verilog

/**
* Multiplexor:
* out = a if sel == 0
* b otherwise
*/
`default_nettype none
module Mux(
input a,
input b,
input sel,
output out
);
// Put your code here:
endmodule