nand2/07_Operating_System/00_HACK/Or8Way.v
Michael Schröder 971b323822 added v2.0
2023-01-11 23:04:57 +01:00

15 lines
188 B
Verilog

/**
* 8-way Or:
* out = (in[0] or in[1] or ... or in[7])
*/
`default_nettype none
module Or8Way(
input [7:0] in,
output out
);
// Put your code here:
assign out = |in;
endmodule