nand2/07_Operating_System/00_HACK/Not.v
Michael Schröder 971b323822 added v2.0
2023-01-11 23:04:57 +01:00

16 lines
148 B
Verilog

/**
* Not gate:
* out = not in
*/
`default_nettype none
module Not(
input in,
output out
);
// Put your code here:
not(out,in);
endmodule