nand2/07_Operating_System/00_HACK/Buffer.v
Michael Schröder 971b323822 added v2.0
2023-01-11 23:04:57 +01:00

15 lines
125 B
Verilog

/**
* Buffer:
* out = in
*/
`default_nettype none
module Buffer(
input in,
output out
);
assign out = in;
endmodule