nand2/01_Boolean_Logic/Not16.v
Michael Schröder 971b323822 added v2.0
2023-01-11 23:04:57 +01:00

15 lines
171 B
Verilog

/**
* 16-bit Not:
* for i=0..15: out[i] = not in[i]
*/
`default_nettype none
module Not16(
input [15:0] in,
output [15:0] out
);
// Put your code here:
endmodule