nand2/01_Boolean_Logic/DMux8Way.v
Michael Schröder 971b323822 added v2.0
2023-01-11 23:04:57 +01:00

26 lines
475 B
Verilog

/**
* 8-way demultiplexor:
* {a, b, c, d, e, f, g, h} = {in, 0, 0, 0, 0, 0, 0, 0} if sel == 000
* {0, in, 0, 0, 0, 0, 0, 0} if sel == 001
* etc.
* {0, 0, 0, 0, 0, 0, 0, in} if sel == 111
*/
`default_nettype none
module DMux8Way(
input in,
input [2:0] sel,
output a,
output b,
output c,
output d,
output e,
output f,
output g,
output h
);
// Put your code here:
endmodule