nand2/01_Boolean_Logic/07_DMux/top.v
Michael Schröder 971b323822 added v2.0
2023-01-11 23:04:57 +01:00

12 lines
155 B
Verilog

`default_nettype none
module top(
input BUT1,
input BUT2,
output LED1,
output LED2
);
DMux DMUX(.in(BUT1),.sel(BUT2),.a(LED1),.b(LED2));
endmodule