nand2/01_Boolean_Logic/Not.v

15 lines
170 B
Verilog

/**
* Not gate:
* out = not in
*/
`default_nettype none
module Not(
input in,
output out
);
// Put your code here:
Nand NOT1(in, in, out);
endmodule