/** * Multiplexor: * out = a if sel == 0 * b otherwise */ `default_nettype none module Mux( input a, input b, input sel, output out ); // Put your code here: wire nsel; wire outx; wire outy; Not NOT1(sel, nsel); And AND1(b, sel, outx); And AND2(a, nsel, outy); Or OR(outx, outy, out); endmodule