/** * 16-bit bitwise And: * for i = 0: out[i] = (a[i] and b[i]) */ `default_nettype none module Or16( input [15:0] a, input [15:0] b, output [15:0] out ); // Put your code here: Or OR0(a[0], b[0], out[0]); Or OR1(a[1], b[1], out[1]); Or OR2(a[2], b[2], out[2]); Or OR3(a[3], b[3], out[3]); Or OR4(a[4], b[4], out[4]); Or OR5(a[5], b[5], out[5]); Or OR6(a[6], b[6], out[6]); Or OR7(a[7], b[7], out[7]); Or OR8(a[8], b[8], out[8]); Or OR9(a[9], b[9], out[9]); Or OR10(a[10], b[10], out[10]); Or OR11(a[11], b[11], out[11]); Or OR12(a[12], b[12], out[12]); Or OR13(a[13], b[13], out[13]); Or OR14(a[14], b[14], out[14]); Or OR15(a[15], b[15], out[15]); endmodule