nand2/01_Boolean_Logic/Mux16.v

18 lines
263 B
Coq
Raw Normal View History

2023-01-11 10:13:09 +00:00
/**
* 16-bit multiplexor:
* for i = 0..15 out[i] = a[i] if sel == 0
* b[i] if sel == 1
*/
`default_nettype none
module Mux16(
input [15:0] a,
input [15:0] b,
input sel,
output [15:0] out
);
// Put your code here:
endmodule