nand2/07_Operating_System/00_HACK/Or8Way.v

15 lines
188 B
Coq
Raw Normal View History

2023-01-11 10:13:09 +00:00
/**
* 8-way Or:
* out = (in[0] or in[1] or ... or in[7])
*/
`default_nettype none
module Or8Way(
input [7:0] in,
output out
);
// Put your code here:
assign out = |in;
endmodule