nand2/05_Computer_Architecture/Clock25_Reset20.v

16 lines
339 B
Coq
Raw Normal View History

2023-01-11 10:13:09 +00:00
/**
* Uses CLK of 100MHz to generate:
* internal clock signal clk with 25MHz and
* a reset signal of approx. 20us length
*/
`default_nettype none
module Clock25_Reset20(
input CLK, // external clock 100 MHz
output clk, // internal clock 25 Mhz
output reset // reset signal approx. 20us
);
// Put your code here:
endmodule