nand2/07_Operating_System/00_HACK/And.v

18 lines
198 B
Coq
Raw Permalink Normal View History

2023-01-11 10:13:09 +00:00
/**
* And gate:
* out = 1 if (a == 1 and b == 1)
* 0 otherwise
*/
`default_nettype none
module And(
input a,
input b,
output out
);
// Put your code here:
and(out,a,b);
endmodule