nand2/01_Boolean_Logic/07_DMux/top.v

12 lines
155 B
Coq
Raw Permalink Normal View History

2023-01-11 10:13:09 +00:00
`default_nettype none
module top(
input BUT1,
input BUT2,
output LED1,
output LED2
);
DMux DMUX(.in(BUT1),.sel(BUT2),.a(LED1),.b(LED2));
endmodule